CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog i2c

搜索资源列表

  1. i2c-verilog

    0下载:
  2. verilog 的 i2c实现
  3. 所属分类:汇编语言

    • 发布日期:2009-09-16
    • 文件大小:2207757
    • 提供者:daijingjing
  1. I2C verilog

    2下载:
  2. i2c verilog语言编写
  3. 所属分类:源码下载

  1. i2c_ip.zip

    1下载:
  2. I2C的ip核,Verilog实现,可以直接用在你的项目中。I2C是一种简单实用的通讯协议。,I2C' s ip nuclear, Verilog realization, you can directly use in your projects. I2C is a simple and practical protocol.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-01
    • 文件大小:2208070
    • 提供者:caibaiyin
  1. ov7660_tft

    0下载:
  2. verilog 写的ov7670的i2c控制,对初学者很有好处-verilog write ov7670 the i2c control is very good for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:392009
    • 提供者:孙文
  1. I2C_Controller

    0下载:
  2. Verilog 硬件描述语言的 I2C收发控制器程序-Verilog hardware descr iption language, I2C transceiver controller program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:1486
    • 提供者:
  1. I2C_Slave

    1下载:
  2. I2C从设备(Slave) Verilog 代码、设计文档和使用文档,简单、适用:很方便修改工作频率,自定义寄存器接口。-I2C slave (Slave) Verilog code, design documents and user guide, simply to apply: the frequency of easy modification, customized register interface.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-11
    • 文件大小:597444
    • 提供者:QinZhujun
  1. i2c-verilog

    0下载:
  2. 可进行i2c读写操作I2C is a two-wire, bi-directional serial bus that provides a simple and efficient method of data exchange between devices. It is most suitable-it can write and read codes in i2c.I2C is a two-wire, bi-directional serial bus that provides a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:220648
    • 提供者:Jane
  1. I2C-Master-_-Slave-Core

    0下载:
  2. 用verilog 实现的 iic 总线编程,包括master,和slave的编程,很详细的iic总线编程-Iic-bus implemented using verilog programming, including the master, and slave programming, a very detailed iic-bus programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-25
    • 文件大小:2181120
    • 提供者:郭天然
  1. i2c_model.tar

    1下载:
  2. I2C EEPROM verilog simulation model
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:635209
    • 提供者:Ravi
  1. I2C

    0下载:
  2. i2c总线的verilog代码,绝对好用-i2c bus Verilog code, the absolute ease of use
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:17724
    • 提供者:sunlee
  1. Document

    0下载:
  2. I2C 控制器的 Verilog的PDF文件-I2C controller Verilog the PDF document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:187470
    • 提供者:杜丽丽
  1. TestFixture

    0下载:
  2. I2C 控制器的 Verilog测试源程序-I2C controller Verilog source test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9405
    • 提供者:杜丽丽
  1. Source

    0下载:
  2. I2C 控制器的 Verilog源程序2-I2C controller Verilog source 2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8943
    • 提供者:杜丽丽
  1. I2C

    0下载:
  2. IIC控制器的verilog实现,通过mcu接口对iic slave器件进行控制-IIC controller Verilog realize
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:348453
    • 提供者:yu
  1. i2c_core

    0下载:
  2. I2C core 及testbench(verilog)-I2C core and testbench [verilog]
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:20984
    • 提供者:xiaoheng
  1. i2c

    0下载:
  2. I2c通信协议的Verilog实现,包括详细的设计说明和完整的文档-Verilog I2c communication protocol implementation, including detailed design specifications and complete documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1114795
    • 提供者:Ather Hu
  1. I2C

    0下载:
  2. FPGA实现I2C通信功能模块,实现了I2C通信可移植(FPGA realize I2C communication function module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:211968
    • 提供者:skyue23214265
  1. i2c_master

    0下载:
  2. verilog i2c master rtl+testbench 转自特权同学(verilog i2c master rtl+testbench)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:3072
    • 提供者:Teray
  1. I2C

    0下载:
  2. 这个是一个I2C接口的程序,能够帮助初学者有效的理解I2C(This is a I2C interface procedures, can help beginners effectively understand I2C)
  3. 所属分类:串口编程

    • 发布日期:2017-12-21
    • 文件大小:201728
    • 提供者:warribao
  1. avalon-i2c

    0下载:
  2. 基于verilog的I2C实现,可以通过软核或者ARM核进行控制哦。(The implementation of I2C based on Verilog can be controlled by soft core or ARM core)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:17408
    • 提供者:shiyangcool
« 1 23 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com